Trang chủ Tin Học Lớp 6 Thiết kế DECODER 2 -> 4 có ngõ ra tích...

Thiết kế DECODER 2 -> 4 có ngõ ra tích cực mức thấp,ngõ vào cho phép tích cực mức thấp câu hỏi 1428937 - hoctapsgk.com

Câu hỏi :

Thiết kế DECODER 2 -> 4 có ngõ ra tích cực mức thấp,ngõ vào cho phép tích cực mức thấp

Lời giải 1 :

#TRANHIEU9232

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity dientu_az is
port ( I :  in STD_LOGIC_VECTOR(1 downto  0);
E1, E2 : in STD_LOGIC;
Q :  out STD_LOGIC_VECTOR(3  downto 0)
);
end dientu_az;

architecture dientu_az of dientu_az is
begin
process (I, E1, E2)
begin
if (E1='0' or E2 = '1') then Q <= "1111";
else
case I is
 when "00" => Q <= "0111";
  when "01" => Q <= "1011";
   when "10" => Q <= "1101";
    when "11" => Q <= "1110";
    when others => null;
end case;
end if;
end process;
end dientu_az;

Thảo luận

-- ok
-- thanks đã cho mình join
-- thôi mình học bài đây
-- ukm
-- bạn bật đoàn kết hả
-- ukm
-- hôm nào rảnh mình cày bù
-- ok

Lời giải 2 :

#HEROSTARBOYVN

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity dientu_az is
port ( I :  in STD_LOGIC_VECTOR(1 downto  0);
E1, E2 : in STD_LOGIC;
Q :  out STD_LOGIC_VECTOR(3  downto 0)
);
end dientu_az;

architecture dientu_az of dientu_az is
begin
process (I, E1, E2)
begin
if (E1='0' or E2 = '1') then Q <= "1111";
else
case I is
 when "00" => Q <= "0111";
  when "01" => Q <= "1011";
   when "10" => Q <= "1101";
    when "11" => Q <= "1110";
    when others => null;
end case;
end if;
end process;
end dientu_az;

Bạn có biết?

Tin học, tiếng Anh: informatics, tiếng Pháp: informatique, là một ngành khoa học chuyên nghiên cứu quá trình tự động hóa việc tổ chức, lưu trữ, xử lý và truyền dẫn thông tin của một hệ thống máy tính cụ thể hoặc trừu tượng (ảo). Với cách hiểu hiện nay, tin học bao hàm tất cả các nghiên cứu và kỹ thuật có liên quan đến việc mô phỏng, biến đổi và tái tạo thông tin.

Nguồn : Wikipedia - Bách khoa toàn thư

Tâm sự 6

Lớp 6 - Là năm đầu tiên của cấp trung học cơ sở. Được sống lại những khỉ niệm như ngày nào còn lần đầu đến lớp 1, được quen bạn mới, ngôi trường mới, một tương lai mới!

Nguồn : ADMIN :))

Liên hệ hợp tác hoặc quảng cáo: gmail

Điều khoản dịch vụ

Copyright © 2021 HOCTAPSGK